PPT Slide
architecture a of control_alt is
-- FFs for Finite State Machine
signal q, d : std_logic_vector(2 downto 0);
constant S0: std_logic_vector(2 downto 0) := "000";
constant S1: std_logic_vector(2 downto 0) := "001";
constant S2: std_logic_vector(2 downto 0) := ”010";
constant S3: std_logic_vector(2 downto 0) := "011";
constant S4: std_logic_vector(2 downto 0) := ”100";
constant S5: std_logic_vector(2 downto 0) := ”101";
stateff: process (clk,reset)
elsif (clk'event and clk='1') then
Symbolic names changed to define a binary counting order encoding for States!
No other changes necessary to code!!!