PPT Slide
architecture a of control is
-- FFs for Finite State Machine
signal q, d : std_logic_vector(5 downto 0);
stateff: process (clk,reset)
elsif (clk'event and clk='1') then
d(0) <= q(0) and (not rb);
d(1) <= (q(0) and rb) or (q(1) and (not ra));
d(2) <= q(2) or (q(1) and ra and (d7_i or d11_i)) or (q(5) and ra and eq);
d(3) <= q(3) or (q(1) and ra and (not d7_i) and (not d11_i) and D2312_i)
or (q(5) and ra and (not eq) and (d7_i)) ;
d(4) <= (q(1) and ra and (not d7_i) and (not d11_i) and (not D2312_i))
or (q(5) and ra and (not eq) and (not d7_i));
d(5) <= (q(4) and rb) or (q(5) and not ra);
sp <= q(1) and ra and (not d7_i) and (not d11_i) and (not d2312_i);
roll <= (q(1) and (not ra)) or (q(5) and (not ra));