-- -- Program -- C:\CAO\EXEMPLAR\BIN\PC\FPGA.EXE C:\TEMP\ORIGINAL\LOGIC5.VHD C:\TEMP\RTL\LOGI -- C5.VHD -COMMAND_FILE=C:\CAO\EXEMPLAR\BIN\PC\TMP14.$$$ -- Version V2.1.7 -- Definition of LOGIC5 -- -- VHDL Concurrent Statements, created by -- Exemplar Logic's CORE -- Fri Nov 17 12:58:41 1995 -- -- -- library exemplar ; use exemplar.exemplar_1164.all ; library ieee ; use ieee.std_logic_1164.all ; entity LOGIC5 is port ( A_3, A_2, A_1, A_0, B_3, B_2, B_1, B_0, CODE_IN_2, CODE_IN_1, CODE_IN_0 : in std_logic ; FLAGS_2, FLAGS_1, FLAGS_0, Z_OUT_3, Z_OUT_2, Z_OUT_1, Z_OUT_0 : out std_logic) ; end LOGIC5 ; architecture exemplar of LOGIC5 is signal vh_0, vh_1, vh_2, vh_3, vh_4, vh_5, vh_6, vh_7, vh_8, vh_9, vh_10, vh_11, vh_12, vh_13, vh_14, vh_15, vh_16, vh_17, vh_18, vh_19, vh_20, vh_21, vh_22, vh_23, vh_24, vh_25, vh_26, vh_27, vh_28, vh_29, vh_30, vh_31, vh_32, vh_33, vh_34, vh_35, vh_36, vh_37, vh_38, vh_39, vh_41, vh_42, vh_43, vh_44, vh_45, vh_46, vh_47, vh_48, vh_49, TEMPr1_4, TEMPr1_3, TEMPr1_2, TEMPr1_1, TEMPr1_0, TEMPr0_4, TEMPr0_3, TEMPr0_2, TEMPr0_1, TEMPr0_0, vh_51, vh_52, vh_53, vh_54, vh_55, vh_56, vh_57, vh_58, vh_59, vh_60, vh_61, vh_62, vh_63, vh_64, vh_65, vh_66, vh_67, vh_68, vh_69, vh_70, vh_71, vh_72, vh_73, vh_74, vh_75, vh_76, vh_77, vh_78, vh_79, vh_80, vh_81, vh_82, vh_83, vh_84, vh_85, vh_86, vh_87, vh_88, vh_89, vh_90, vh_91, vh_92, vh_93, vh_94, vh_95, vh_96, vh_97, vh_98, vh_99, vh_100, vh_101, vh_102, vh_103, vh_104, vh_105, vh_106, vh_107, vh_108, vh_109, vh_110, vh_111, vh_112, vh_113, vh_114, vh_115, vh_116, vh_117, vh_118, vh_119, vh_120, vh_121, vh_122, vh_123, vh_124, vh_125, vh_126, vh_127, vh_128, vh_129, vh_130, vh_131, vh_132, vh_133, vh_134, vh_135, vh_136, vh_137, vh_138, vh_139, vh_140, vh_141, vh_142, vh_143, vh_144, vh_145, vh_146, vh_147, vh_148, vh_149, vh_150, vh_151, vh_152, vh_153, vh_154, vh_155, vh_156, vh_157, vh_158, vh_159, vh_160, vh_162, vh_163, vh_165, vh_166, vh_168, vh_169, vh_171, vh_172, vh_173, vh_175, vh_176, vh_177, vh_178, vh_179, vh_180, vh_181, vh_182, vh_183, vh_184, vh_185, vh_186, vh_187, vh_188, vh_189, vh_190, vh_191, vh_192, vh_193, vh_194, vh_195, vh_196, vh_197, vh_198, vh_199, vh_200, vh_201, vh_202, vh_203, vh_204, vh_205, vh_206, vh_207, vh_208, vh_209, vh_210, vh_211, vh_212, vh_213, vh_214, vh_215, vh_216, vh_217, vh_218, vh_219, vh_220, vh_221, vh_222, vh_223, vh_224, vh_225, vh_226, vh_227, vh_228, vh_229, vh_230, vh_231: std_logic ; begin vh_0 <= ( not CODE_IN_2 and not CODE_IN_1 and not CODE_IN_0) ; vh_1 <= ( not CODE_IN_2 and not CODE_IN_1 and CODE_IN_0) ; vh_2 <= ( not vh_0 and vh_1) ; vh_3 <= ( not vh_0 and not vh_1) ; vh_4 <= ( not CODE_IN_2 and CODE_IN_1 and not CODE_IN_0) ; vh_5 <= (vh_4 and vh_3) ; vh_6 <= (vh_3 and not vh_4) ; vh_7 <= (A_3 and B_3) ; vh_8 <= (A_2 and B_2) ; vh_9 <= (A_1 and B_1) ; vh_10 <= (A_0 and B_0) ; vh_11 <= ( not CODE_IN_2 and CODE_IN_1 and CODE_IN_0) ; vh_12 <= (vh_11 and vh_6) ; vh_13 <= (vh_6 and not vh_11) ; vh_14 <= (B_3) or (A_3) ; vh_15 <= (B_2) or (A_2) ; vh_16 <= (B_1) or (A_1) ; vh_17 <= (B_0) or (A_0) ; vh_18 <= (CODE_IN_2 and not CODE_IN_1 and not CODE_IN_0) ; vh_19 <= (vh_18 and vh_13) ; vh_20 <= (vh_13 and not vh_18) ; vh_21 <= (A_3 and not B_3 and not vh_187) or ( not A_3 and B_3 and not vh_187) or ( not A_3 and not B_3 and vh_187) or (A_3 and B_3 and vh_187) ; vh_22 <= (A_3 and not B_3 and not vh_183) or ( not A_3 and B_3 and not vh_183) or ( not A_3 and not B_3 and vh_183) or (A_3 and B_3 and vh_183) ; vh_23 <= (A_2 and not B_2 and not vh_179) or ( not A_2 and B_2 and not vh_179) or ( not A_2 and not B_2 and vh_179) or (A_2 and B_2 and vh_179) ; vh_24 <= (A_1 and not B_1 and not vh_175) or ( not A_1 and B_1 and not vh_175) or ( not A_1 and not B_1 and vh_175) or (A_1 and B_1 and vh_175) ; vh_25 <= (A_0 and not B_0) or ( not A_0 and B_0) ; vh_26 <= (CODE_IN_2 and not CODE_IN_1 and CODE_IN_0) ; vh_27 <= (vh_26 and vh_20) ; vh_28 <= (vh_20 and not vh_26) ; vh_29 <= (A_3 and not vh_194 and not vh_207) or ( not A_3 and vh_194 and not vh_207) or ( not A_3 and not vh_194 and vh_207) or (A_3 and vh_194 and vh_207) ; vh_30 <= (A_3 and not vh_192 and not vh_203) or ( not A_3 and vh_192 and not vh_203) or ( not A_3 and not vh_192 and vh_203) or (A_3 and vh_192 and vh_203) ; vh_31 <= (A_2 and not vh_190 and not vh_199) or ( not A_2 and vh_190 and not vh_199) or ( not A_2 and not vh_190 and vh_199) or (A_2 and vh_190 and vh_199) ; vh_32 <= (A_1 and not vh_188 and not vh_195) or ( not A_1 and vh_188 and not vh_195) or ( not A_1 and not vh_188 and vh_195) or (A_1 and vh_188 and vh_195) ; vh_33 <= (A_0 and not B_0) or ( not A_0 and B_0) ; vh_34 <= (CODE_IN_2 and CODE_IN_1) ; vh_35 <= (vh_34 and vh_28) ; vh_36 <= (vh_219) or (vh_218) or (vh_217) ; vh_37 <= (vh_35 and vh_36) ; vh_38 <= ( not CODE_IN_0 and vh_37) ; vh_39 <= (vh_35 and vh_36) ; FLAGS_1 <= ( not CODE_IN_0 and vh_39) ; vh_41 <= (vh_35 and vh_36) ; vh_42 <= (CODE_IN_0 and vh_41) ; vh_43 <= (vh_231) or (vh_230) or (vh_229) ; vh_44 <= ( not vh_36 and vh_43) ; vh_45 <= (vh_35 and vh_44) ; vh_46 <= ( not CODE_IN_0 and vh_45) ; vh_47 <= (vh_35 and vh_44) ; vh_48 <= (CODE_IN_0 and vh_47) ; vh_49 <= (vh_35 and vh_44) ; FLAGS_0 <= (CODE_IN_0 and vh_49) ; TEMPr1_4 <= (vh_29 and vh_27) ; TEMPr1_3 <= (vh_30 and vh_27) ; TEMPr1_2 <= (vh_31 and vh_27) ; TEMPr1_1 <= (vh_32 and vh_27) ; TEMPr1_0 <= (vh_33 and vh_27) ; TEMPr0_4 <= (vh_21 and vh_19) ; TEMPr0_3 <= (vh_22 and vh_19) ; TEMPr0_2 <= (vh_23 and vh_19) ; TEMPr0_1 <= (vh_24 and vh_19) ; TEMPr0_0 <= (vh_25 and vh_19) ; vh_51 <= (A_3 and vh_48) ; vh_52 <= (A_2 and vh_48) ; vh_53 <= (A_1 and vh_48) ; vh_54 <= (A_0 and vh_48) ; vh_55 <= (vh_46 and not vh_48) ; vh_56 <= (B_3 and vh_55) ; vh_57 <= (vh_56) or (vh_51) ; vh_58 <= (vh_46 and not vh_48) ; vh_59 <= (B_2 and vh_58) ; vh_60 <= (vh_59) or (vh_52) ; vh_61 <= (vh_46 and not vh_48) ; vh_62 <= (B_1 and vh_61) ; vh_63 <= (vh_62) or (vh_53) ; vh_64 <= (vh_46 and not vh_48) ; vh_65 <= (B_0 and vh_64) ; vh_66 <= (vh_65) or (vh_54) ; vh_67 <= (vh_48) or (vh_46) ; vh_68 <= (vh_42 and not vh_67) ; vh_69 <= (B_3 and vh_68) ; vh_70 <= (vh_69) or (vh_57) ; vh_71 <= (vh_42 and not vh_67) ; vh_72 <= (B_2 and vh_71) ; vh_73 <= (vh_72) or (vh_60) ; vh_74 <= (vh_42 and not vh_67) ; vh_75 <= (B_1 and vh_74) ; vh_76 <= (vh_75) or (vh_63) ; vh_77 <= (vh_42 and not vh_67) ; vh_78 <= (B_0 and vh_77) ; vh_79 <= (vh_78) or (vh_66) ; vh_80 <= (vh_42) or (vh_67) ; vh_81 <= (vh_38 and not vh_80) ; vh_82 <= (A_3 and vh_81) ; vh_83 <= (vh_82) or (vh_70) ; vh_84 <= (vh_38 and not vh_80) ; vh_85 <= (A_2 and vh_84) ; vh_86 <= (vh_85) or (vh_73) ; vh_87 <= (vh_38 and not vh_80) ; vh_88 <= (A_1 and vh_87) ; vh_89 <= (vh_88) or (vh_76) ; vh_90 <= (vh_38 and not vh_80) ; vh_91 <= (A_0 and vh_90) ; vh_92 <= (vh_91) or (vh_79) ; vh_93 <= (vh_38) or (vh_80) ; vh_94 <= (vh_27 and not vh_93) ; vh_95 <= (TEMPr1_3 and vh_94) ; vh_96 <= (vh_95) or (vh_83) ; vh_97 <= (vh_27 and not vh_93) ; vh_98 <= (TEMPr1_2 and vh_97) ; vh_99 <= (vh_98) or (vh_86) ; vh_100 <= (vh_27 and not vh_93) ; vh_101 <= (TEMPr1_1 and vh_100) ; vh_102 <= (vh_101) or (vh_89) ; vh_103 <= (vh_27 and not vh_93) ; vh_104 <= (TEMPr1_0 and vh_103) ; vh_105 <= (vh_104) or (vh_92) ; vh_106 <= (vh_27) or (vh_93) ; vh_107 <= (vh_19 and not vh_106) ; vh_108 <= (TEMPr0_3 and vh_107) ; vh_109 <= (vh_108) or (vh_96) ; vh_110 <= (vh_19 and not vh_106) ; vh_111 <= (TEMPr0_2 and vh_110) ; vh_112 <= (vh_111) or (vh_99) ; vh_113 <= (vh_19 and not vh_106) ; vh_114 <= (TEMPr0_1 and vh_113) ; vh_115 <= (vh_114) or (vh_102) ; vh_116 <= (vh_19 and not vh_106) ; vh_117 <= (TEMPr0_0 and vh_116) ; vh_118 <= (vh_117) or (vh_105) ; vh_119 <= (vh_19) or (vh_106) ; vh_120 <= (vh_12 and not vh_119) ; vh_121 <= (vh_14 and vh_120) ; vh_122 <= (vh_121) or (vh_109) ; vh_123 <= (vh_12 and not vh_119) ; vh_124 <= (vh_15 and vh_123) ; vh_125 <= (vh_124) or (vh_112) ; vh_126 <= (vh_12 and not vh_119) ; vh_127 <= (vh_16 and vh_126) ; vh_128 <= (vh_127) or (vh_115) ; vh_129 <= (vh_12 and not vh_119) ; vh_130 <= (vh_17 and vh_129) ; vh_131 <= (vh_130) or (vh_118) ; vh_132 <= (vh_12) or (vh_119) ; vh_133 <= (vh_5 and not vh_132) ; vh_134 <= (vh_7 and vh_133) ; vh_135 <= (vh_134) or (vh_122) ; vh_136 <= (vh_5 and not vh_132) ; vh_137 <= (vh_8 and vh_136) ; vh_138 <= (vh_137) or (vh_125) ; vh_139 <= (vh_5 and not vh_132) ; vh_140 <= (vh_9 and vh_139) ; vh_141 <= (vh_140) or (vh_128) ; vh_142 <= (vh_5 and not vh_132) ; vh_143 <= (vh_10 and vh_142) ; vh_144 <= (vh_143) or (vh_131) ; vh_145 <= (vh_5) or (vh_132) ; vh_146 <= (vh_2 and not vh_145) ; vh_147 <= (B_3 and vh_146) ; vh_148 <= (vh_147) or (vh_135) ; vh_149 <= (vh_2 and not vh_145) ; vh_150 <= (B_2 and vh_149) ; vh_151 <= (vh_150) or (vh_138) ; vh_152 <= (vh_2 and not vh_145) ; vh_153 <= (B_1 and vh_152) ; vh_154 <= (vh_153) or (vh_141) ; vh_155 <= (vh_2 and not vh_145) ; vh_156 <= (B_0 and vh_155) ; vh_157 <= (vh_156) or (vh_144) ; vh_158 <= (vh_2) or (vh_145) ; vh_159 <= (vh_0 and not vh_158) ; vh_160 <= (A_3 and vh_159) ; Z_OUT_3 <= (vh_160) or (vh_148) ; vh_162 <= (vh_0 and not vh_158) ; vh_163 <= (A_2 and vh_162) ; Z_OUT_2 <= (vh_163) or (vh_151) ; vh_165 <= (vh_0 and not vh_158) ; vh_166 <= (A_1 and vh_165) ; Z_OUT_1 <= (vh_166) or (vh_154) ; vh_168 <= (vh_0 and not vh_158) ; vh_169 <= (A_0 and vh_168) ; Z_OUT_0 <= (vh_169) or (vh_157) ; vh_171 <= (vh_27 and TEMPr1_4) ; vh_172 <= (vh_19 and not vh_27) ; vh_173 <= (TEMPr0_4 and vh_172) ; FLAGS_2 <= (vh_173) or (vh_171) ; vh_175 <= (A_0 and B_0) ; vh_176 <= (A_1 and vh_175) ; vh_177 <= (A_1 and B_1) ; vh_178 <= (B_1 and vh_175) ; vh_179 <= (vh_178) or (vh_177) or (vh_176) ; vh_180 <= (A_2 and vh_179) ; vh_181 <= (A_2 and B_2) ; vh_182 <= (B_2 and vh_179) ; vh_183 <= (vh_182) or (vh_181) or (vh_180) ; vh_184 <= (A_3 and vh_183) ; vh_185 <= (A_3 and B_3) ; vh_186 <= (B_3 and vh_183) ; vh_187 <= (vh_186) or (vh_185) or (vh_184) ; vh_188 <= (B_1 and not B_0) or ( not B_1 and B_0) ; vh_189 <= (B_0) or (B_1) ; vh_190 <= (B_2 and not vh_189) or ( not B_2 and vh_189) ; vh_191 <= (vh_189) or (B_2) ; vh_192 <= (B_3 and not vh_191) or ( not B_3 and vh_191) ; vh_193 <= (vh_191) or (B_3) ; vh_194 <= (B_3 and not vh_193) or ( not B_3 and vh_193) ; vh_195 <= (A_0 and B_0) ; vh_196 <= (A_1 and vh_195) ; vh_197 <= (A_1 and vh_188) ; vh_198 <= (vh_188 and vh_195) ; vh_199 <= (vh_198) or (vh_197) or (vh_196) ; vh_200 <= (A_2 and vh_199) ; vh_201 <= (A_2 and vh_190) ; vh_202 <= (vh_190 and vh_199) ; vh_203 <= (vh_202) or (vh_201) or (vh_200) ; vh_204 <= (A_3 and vh_203) ; vh_205 <= (A_3 and vh_192) ; vh_206 <= (vh_192 and vh_203) ; vh_207 <= (vh_206) or (vh_205) or (vh_204) ; vh_208 <= (A_0 and not B_0) ; vh_209 <= (A_1 and not B_1) ; vh_210 <= (A_1 and vh_208) ; vh_211 <= ( not B_1 and vh_208) ; vh_212 <= (vh_211) or (vh_210) or (vh_209) ; vh_213 <= (A_2 and not B_2) ; vh_214 <= (A_2 and vh_212) ; vh_215 <= ( not B_2 and vh_212) ; vh_216 <= (vh_215) or (vh_214) or (vh_213) ; vh_217 <= (A_3 and not B_3) ; vh_218 <= (A_3 and vh_216) ; vh_219 <= ( not B_3 and vh_216) ; vh_220 <= (B_0 and not A_0) ; vh_221 <= (B_1 and not A_1) ; vh_222 <= (B_1 and vh_220) ; vh_223 <= ( not A_1 and vh_220) ; vh_224 <= (vh_223) or (vh_222) or (vh_221) ; vh_225 <= (B_2 and not A_2) ; vh_226 <= (B_2 and vh_224) ; vh_227 <= ( not A_2 and vh_224) ; vh_228 <= (vh_227) or (vh_226) or (vh_225) ; vh_229 <= (B_3 and not A_3) ; vh_230 <= (B_3 and vh_228) ; vh_231 <= ( not A_3 and vh_228) ; end exemplar ;