-- -- Program -- C:\CAO\EXEMPLAR\BIN\PC\FPGA.EXE C:\TEMP\ORIGINAL\COUNT6.VHD C:\TEMP\RTL\COUN -- T6.RTL -COMMAND_FILE=C:\CAO\EXEMPLAR\BIN\PC\TMP20.$$$ -- Version V2.1.7 -- Definition of COUNT6 -- -- VHDL Concurrent Statements, created by -- Exemplar Logic's CORE -- Fri Nov 17 12:45:02 1995 -- -- -- library exemplar ; use exemplar.exemplar_1164.all ; library ieee ; use ieee.std_logic_1164.all ; entity COUNT6 is port ( CLOCK, RESET : in std_logic ; DIVIDE_OUT : out std_logic) ; end COUNT6 ; architecture exemplar of COUNT6 is signal COUNTr5_0, COUNTr5_1, COUNTr5_2, COUNTr5_3, COUNTr5_4, COUNTr5_5, COUNTr5_6, COUNTr5_7, COUNTr5_8, COUNTr5_9, COUNTr5_10, COUNTr5_11, COUNTr5_12, COUNTr5_13, COUNTr5_14, COUNTr5_15, COUNTr5_16, COUNTr5_17, COUNTr5_18, COUNTr5_19, COUNTr5_20, COUNTr5_21, COUNTr5_22, COUNTr5_23, COUNTr5_24, COUNTr5_25, COUNTr5_26, COUNTr5_27, COUNTr5_28, COUNTr5_29, COUNTr5_30, COUNTr5_31, COUNTr4_28, COUNTr4_29, COUNTr4_31, vh_1, vh_2, vh_3, vh_4, vh_5, vh_6, vh_7, vh_8, vh_9, vh_10, vh_11, vh_12, vh_13, vh_14, vh_15, vh_16, vh_17, vh_18, vh_19, vh_20, vh_21, vh_22, vh_23, vh_24, vh_25, vh_26, vh_27, vh_28, vh_29, vh_30, vh_31, COUNTr3_28, vh_32, vh_33, vh_39, vh_40, vh_46, vh_47, vh_53, vh_54, vh_60, vh_61, vh_67, vh_68, vh_74, vh_75, vh_81, vh_82, vh_88, vh_89, vh_95, vh_96, vh_102, vh_103, vh_109, vh_110, vh_116, vh_117, vh_123, vh_124, vh_130, vh_131, vh_137, vh_138, vh_144, vh_145, vh_151, vh_152, vh_158, vh_159, vh_165, vh_166, vh_172, vh_173, vh_179, vh_180, vh_186, vh_187, vh_193, vh_194, vh_200, vh_201, vh_207, vh_208, vh_214, vh_215, vh_221, vh_222, vh_228, vh_229, vh_235, vh_236, vh_242, vh_243, vh_249, vh_250, COUNTr4_0, COUNTr4_1, COUNTr4_2, COUNTr4_3, COUNTr4_4, COUNTr4_5, COUNTr4_6, COUNTr4_7, COUNTr4_8, COUNTr4_9, COUNTr4_10, COUNTr4_11, COUNTr4_12, COUNTr4_13, COUNTr4_14, COUNTr4_15, COUNTr4_16, COUNTr4_17, COUNTr4_18, COUNTr4_19, COUNTr4_20, COUNTr4_21, COUNTr4_22, COUNTr4_23, COUNTr4_24, COUNTr4_25, COUNTr4_26, COUNTr4_27, vh_256, vh_257, COUNTr4_30, vh_258, COUNTr1_0, COUNTr1_1, COUNTr1_2, COUNTr1_3, COUNTr1_4, COUNTr1_5, COUNTr1_6, COUNTr1_7, COUNTr1_8, COUNTr1_9, COUNTr1_10, COUNTr1_11, COUNTr1_12, COUNTr1_13, COUNTr1_14, COUNTr1_15, COUNTr1_16, COUNTr1_17, COUNTr1_18, COUNTr1_19, COUNTr1_20, COUNTr1_21, COUNTr1_22, COUNTr1_23, COUNTr1_24, COUNTr1_25, COUNTr1_26, COUNTr1_27, COUNTr1_28, COUNTr1_29, COUNTr1_30, COUNTr1_31, COUNTr2_0, COUNTr2_1, COUNTr2_2, COUNTr2_3, COUNTr2_4, COUNTr2_5, COUNTr2_6, COUNTr2_7, COUNTr2_8, COUNTr2_9, COUNTr2_10, COUNTr2_11, COUNTr2_12, COUNTr2_13, COUNTr2_14, COUNTr2_15, COUNTr2_16, COUNTr2_17, COUNTr2_18, COUNTr2_19, COUNTr2_20, COUNTr2_21, COUNTr2_22, COUNTr2_23, COUNTr2_24, COUNTr2_25, COUNTr2_26, COUNTr2_27, COUNTr2_28, COUNTr2_29, COUNTr2_30, COUNTr2_31, vh_262, vh_263, vh_264, vh_265, vh_266, vh_267, vh_268, vh_269, vh_270, vh_271, vh_272, vh_273, vh_274, vh_275, vh_276, vh_277, vh_278, vh_279, vh_280, vh_281, vh_282, vh_283, vh_284, vh_285, vh_286, vh_287, vh_288, vh_289, vh_290, vh_291, vh_292, vh_293, vh_294, vh_295, vh_296, vh_297, vh_298, vh_299, vh_300, vh_301, vh_302, vh_303, vh_304, vh_305, vh_306, vh_307, vh_308, vh_309, vh_310, vh_311, vh_312, vh_313, vh_314, vh_315, vh_316, vh_317, vh_318, vh_319, vh_320, vh_321: std_logic ; begin COUNTr4_28 <= (vh_256) or (COUNTr3_28) ; COUNTr4_29 <= (vh_257) or (COUNTr3_28) ; COUNTr4_31 <= (vh_258) or (COUNTr3_28) ; vh_1 <= ( not COUNTr5_0 and not vh_321) or (COUNTr5_0 and vh_321) ; vh_2 <= ( not COUNTr5_1 and not vh_319) or (COUNTr5_1 and vh_319) ; vh_3 <= ( not COUNTr5_2 and not vh_317) or (COUNTr5_2 and vh_317) ; vh_4 <= ( not COUNTr5_3 and not vh_315) or (COUNTr5_3 and vh_315) ; vh_5 <= ( not COUNTr5_4 and not vh_313) or (COUNTr5_4 and vh_313) ; vh_6 <= ( not COUNTr5_5 and not vh_311) or (COUNTr5_5 and vh_311) ; vh_7 <= ( not COUNTr5_6 and not vh_309) or (COUNTr5_6 and vh_309) ; vh_8 <= ( not COUNTr5_7 and not vh_307) or (COUNTr5_7 and vh_307) ; vh_9 <= ( not COUNTr5_8 and not vh_305) or (COUNTr5_8 and vh_305) ; vh_10 <= ( not COUNTr5_9 and not vh_303) or (COUNTr5_9 and vh_303) ; vh_11 <= ( not COUNTr5_10 and not vh_301) or (COUNTr5_10 and vh_301) ; vh_12 <= ( not COUNTr5_11 and not vh_299) or (COUNTr5_11 and vh_299) ; vh_13 <= ( not COUNTr5_12 and not vh_297) or (COUNTr5_12 and vh_297) ; vh_14 <= ( not COUNTr5_13 and not vh_295) or (COUNTr5_13 and vh_295) ; vh_15 <= ( not COUNTr5_14 and not vh_293) or (COUNTr5_14 and vh_293) ; vh_16 <= ( not COUNTr5_15 and not vh_291) or (COUNTr5_15 and vh_291) ; vh_17 <= ( not COUNTr5_16 and not vh_289) or (COUNTr5_16 and vh_289) ; vh_18 <= ( not COUNTr5_17 and not vh_287) or (COUNTr5_17 and vh_287) ; vh_19 <= ( not COUNTr5_18 and not vh_285) or (COUNTr5_18 and vh_285) ; vh_20 <= ( not COUNTr5_19 and not vh_283) or (COUNTr5_19 and vh_283) ; vh_21 <= ( not COUNTr5_20 and not vh_281) or (COUNTr5_20 and vh_281) ; vh_22 <= ( not COUNTr5_21 and not vh_279) or (COUNTr5_21 and vh_279) ; vh_23 <= ( not COUNTr5_22 and not vh_277) or (COUNTr5_22 and vh_277) ; vh_24 <= ( not COUNTr5_23 and not vh_275) or (COUNTr5_23 and vh_275) ; vh_25 <= ( not COUNTr5_24 and not vh_273) or (COUNTr5_24 and vh_273) ; vh_26 <= ( not COUNTr5_25 and not vh_271) or (COUNTr5_25 and vh_271) ; vh_27 <= ( not COUNTr5_26 and not vh_269) or (COUNTr5_26 and vh_269) ; vh_28 <= ( not COUNTr5_27 and not vh_267) or (COUNTr5_27 and vh_267) ; vh_29 <= ( not COUNTr5_28 and not vh_265) or (COUNTr5_28 and vh_265) ; vh_30 <= ( not COUNTr5_29 and not vh_263) or (COUNTr5_29 and vh_263) ; vh_31 <= ( not COUNTr5_30 and not COUNTr5_31) or (COUNTr5_30 and COUNTr5_31) ; COUNTr3_28 <= ( not COUNTr2_0 and not COUNTr2_1 and not COUNTr2_2 and not COUNTr2_3 and not COUNTr2_4 and not COUNTr2_5 and not COUNTr2_6 and not COUNTr2_7 and not COUNTr2_8 and not COUNTr2_9 and not COUNTr2_10 and not COUNTr2_11 and not COUNTr2_12 and not COUNTr2_13 and not COUNTr2_14 and not COUNTr2_15 and not COUNTr2_16 and not COUNTr2_17 and not COUNTr2_18 and not COUNTr2_19 and not COUNTr2_20 and not COUNTr2_21 and not COUNTr2_22 and not COUNTr2_23 and not COUNTr2_24 and not COUNTr2_25 and not COUNTr2_26 and not COUNTr2_27 and not COUNTr2_28 and not COUNTr2_29 and not COUNTr2_30 and not COUNTr2_31) ; vh_32 <= '0' ; vh_33 <= '0' ; vh_39 <= '0' ; vh_40 <= '0' ; vh_46 <= '0' ; vh_47 <= '0' ; vh_53 <= '0' ; vh_54 <= '0' ; vh_60 <= '0' ; vh_61 <= '0' ; vh_67 <= '0' ; vh_68 <= '0' ; vh_74 <= '0' ; vh_75 <= '0' ; vh_81 <= '0' ; vh_82 <= '0' ; vh_88 <= '0' ; vh_89 <= '0' ; vh_95 <= '0' ; vh_96 <= '0' ; vh_102 <= '0' ; vh_103 <= '0' ; vh_109 <= '0' ; vh_110 <= '0' ; vh_116 <= '0' ; vh_117 <= '0' ; vh_123 <= '0' ; vh_124 <= '0' ; vh_130 <= '0' ; vh_131 <= '0' ; vh_137 <= '0' ; vh_138 <= '0' ; vh_144 <= '0' ; vh_145 <= '0' ; vh_151 <= '0' ; vh_152 <= '0' ; vh_158 <= '0' ; vh_159 <= '0' ; vh_165 <= '0' ; vh_166 <= '0' ; vh_172 <= '0' ; vh_173 <= '0' ; vh_179 <= '0' ; vh_180 <= '0' ; vh_186 <= '0' ; vh_187 <= '0' ; vh_193 <= '0' ; vh_194 <= '0' ; vh_200 <= '0' ; vh_201 <= '0' ; vh_207 <= '0' ; vh_208 <= '0' ; vh_214 <= '0' ; vh_215 <= '0' ; vh_221 <= '0' ; vh_222 <= '0' ; vh_228 <= '0' ; vh_229 <= '0' ; vh_235 <= '0' ; vh_236 <= '0' ; vh_242 <= '0' ; vh_243 <= '0' ; vh_249 <= '0' ; vh_250 <= '0' ; COUNTr4_0 <= ( not COUNTr3_28 and COUNTr2_0) ; COUNTr4_1 <= ( not COUNTr3_28 and COUNTr2_1) ; COUNTr4_2 <= ( not COUNTr3_28 and COUNTr2_2) ; COUNTr4_3 <= ( not COUNTr3_28 and COUNTr2_3) ; COUNTr4_4 <= ( not COUNTr3_28 and COUNTr2_4) ; COUNTr4_5 <= ( not COUNTr3_28 and COUNTr2_5) ; COUNTr4_6 <= ( not COUNTr3_28 and COUNTr2_6) ; COUNTr4_7 <= ( not COUNTr3_28 and COUNTr2_7) ; COUNTr4_8 <= ( not COUNTr3_28 and COUNTr2_8) ; COUNTr4_9 <= ( not COUNTr3_28 and COUNTr2_9) ; COUNTr4_10 <= ( not COUNTr3_28 and COUNTr2_10) ; COUNTr4_11 <= ( not COUNTr3_28 and COUNTr2_11) ; COUNTr4_12 <= ( not COUNTr3_28 and COUNTr2_12) ; COUNTr4_13 <= ( not COUNTr3_28 and COUNTr2_13) ; COUNTr4_14 <= ( not COUNTr3_28 and COUNTr2_14) ; COUNTr4_15 <= ( not COUNTr3_28 and COUNTr2_15) ; COUNTr4_16 <= ( not COUNTr3_28 and COUNTr2_16) ; COUNTr4_17 <= ( not COUNTr3_28 and COUNTr2_17) ; COUNTr4_18 <= ( not COUNTr3_28 and COUNTr2_18) ; COUNTr4_19 <= ( not COUNTr3_28 and COUNTr2_19) ; COUNTr4_20 <= ( not COUNTr3_28 and COUNTr2_20) ; COUNTr4_21 <= ( not COUNTr3_28 and COUNTr2_21) ; COUNTr4_22 <= ( not COUNTr3_28 and COUNTr2_22) ; COUNTr4_23 <= ( not COUNTr3_28 and COUNTr2_23) ; COUNTr4_24 <= ( not COUNTr3_28 and COUNTr2_24) ; COUNTr4_25 <= ( not COUNTr3_28 and COUNTr2_25) ; COUNTr4_26 <= ( not COUNTr3_28 and COUNTr2_26) ; COUNTr4_27 <= ( not COUNTr3_28 and COUNTr2_27) ; vh_256 <= ( not COUNTr3_28 and COUNTr2_28) ; vh_257 <= ( not COUNTr3_28 and COUNTr2_29) ; COUNTr4_30 <= ( not COUNTr3_28 and COUNTr2_30) ; vh_258 <= ( not COUNTr3_28 and COUNTr2_31) ; COUNTr1_0 <= ( not RESET and vh_1) ; COUNTr1_1 <= ( not RESET and vh_2) ; COUNTr1_2 <= ( not RESET and vh_3) ; COUNTr1_3 <= ( not RESET and vh_4) ; COUNTr1_4 <= ( not RESET and vh_5) ; COUNTr1_5 <= ( not RESET and vh_6) ; COUNTr1_6 <= ( not RESET and vh_7) ; COUNTr1_7 <= ( not RESET and vh_8) ; COUNTr1_8 <= ( not RESET and vh_9) ; COUNTr1_9 <= ( not RESET and vh_10) ; COUNTr1_10 <= ( not RESET and vh_11) ; COUNTr1_11 <= ( not RESET and vh_12) ; COUNTr1_12 <= ( not RESET and vh_13) ; COUNTr1_13 <= ( not RESET and vh_14) ; COUNTr1_14 <= ( not RESET and vh_15) ; COUNTr1_15 <= ( not RESET and vh_16) ; COUNTr1_16 <= ( not RESET and vh_17) ; COUNTr1_17 <= ( not RESET and vh_18) ; COUNTr1_18 <= ( not RESET and vh_19) ; COUNTr1_19 <= ( not RESET and vh_20) ; COUNTr1_20 <= ( not RESET and vh_21) ; COUNTr1_21 <= ( not RESET and vh_22) ; COUNTr1_22 <= ( not RESET and vh_23) ; COUNTr1_23 <= ( not RESET and vh_24) ; COUNTr1_24 <= ( not RESET and vh_25) ; COUNTr1_25 <= ( not RESET and vh_26) ; COUNTr1_26 <= ( not RESET and vh_27) ; COUNTr1_27 <= ( not RESET and vh_28) ; COUNTr1_28 <= ( not RESET and vh_29) ; COUNTr1_29 <= ( not RESET and vh_30) ; COUNTr1_30 <= ( not RESET and vh_31) ; COUNTr1_31 <= ( not RESET and not COUNTr5_31) ; COUNTr2_0 <= ( not RESET and COUNTr1_0) ; COUNTr2_1 <= ( not RESET and COUNTr1_1) ; COUNTr2_2 <= ( not RESET and COUNTr1_2) ; COUNTr2_3 <= ( not RESET and COUNTr1_3) ; COUNTr2_4 <= ( not RESET and COUNTr1_4) ; COUNTr2_5 <= ( not RESET and COUNTr1_5) ; COUNTr2_6 <= ( not RESET and COUNTr1_6) ; COUNTr2_7 <= ( not RESET and COUNTr1_7) ; COUNTr2_8 <= ( not RESET and COUNTr1_8) ; COUNTr2_9 <= ( not RESET and COUNTr1_9) ; COUNTr2_10 <= ( not RESET and COUNTr1_10) ; COUNTr2_11 <= ( not RESET and COUNTr1_11) ; COUNTr2_12 <= ( not RESET and COUNTr1_12) ; COUNTr2_13 <= ( not RESET and COUNTr1_13) ; COUNTr2_14 <= ( not RESET and COUNTr1_14) ; COUNTr2_15 <= ( not RESET and COUNTr1_15) ; COUNTr2_16 <= ( not RESET and COUNTr1_16) ; COUNTr2_17 <= ( not RESET and COUNTr1_17) ; COUNTr2_18 <= ( not RESET and COUNTr1_18) ; COUNTr2_19 <= ( not RESET and COUNTr1_19) ; COUNTr2_20 <= ( not RESET and COUNTr1_20) ; COUNTr2_21 <= ( not RESET and COUNTr1_21) ; COUNTr2_22 <= ( not RESET and COUNTr1_22) ; COUNTr2_23 <= ( not RESET and COUNTr1_23) ; COUNTr2_24 <= ( not RESET and COUNTr1_24) ; COUNTr2_25 <= ( not RESET and COUNTr1_25) ; COUNTr2_26 <= ( not RESET and COUNTr1_26) ; COUNTr2_27 <= ( not RESET and COUNTr1_27) ; COUNTr2_28 <= ( not RESET and COUNTr1_28) ; COUNTr2_29 <= ( not RESET and COUNTr1_29) ; COUNTr2_30 <= ( not RESET and COUNTr1_30) ; COUNTr2_31 <= ( not RESET and COUNTr1_31) ; vh_262 <= (COUNTr5_30 and COUNTr5_31) ; vh_263 <= (vh_262) or (COUNTr5_31) or (COUNTr5_30) ; vh_264 <= (COUNTr5_29 and vh_263) ; vh_265 <= (vh_264) or (vh_263) or (COUNTr5_29) ; vh_266 <= (COUNTr5_28 and vh_265) ; vh_267 <= (vh_266) or (vh_265) or (COUNTr5_28) ; vh_268 <= (COUNTr5_27 and vh_267) ; vh_269 <= (vh_268) or (vh_267) or (COUNTr5_27) ; vh_270 <= (COUNTr5_26 and vh_269) ; vh_271 <= (vh_270) or (vh_269) or (COUNTr5_26) ; vh_272 <= (COUNTr5_25 and vh_271) ; vh_273 <= (vh_272) or (vh_271) or (COUNTr5_25) ; vh_274 <= (COUNTr5_24 and vh_273) ; vh_275 <= (vh_274) or (vh_273) or (COUNTr5_24) ; vh_276 <= (COUNTr5_23 and vh_275) ; vh_277 <= (vh_276) or (vh_275) or (COUNTr5_23) ; vh_278 <= (COUNTr5_22 and vh_277) ; vh_279 <= (vh_278) or (vh_277) or (COUNTr5_22) ; vh_280 <= (COUNTr5_21 and vh_279) ; vh_281 <= (vh_280) or (vh_279) or (COUNTr5_21) ; vh_282 <= (COUNTr5_20 and vh_281) ; vh_283 <= (vh_282) or (vh_281) or (COUNTr5_20) ; vh_284 <= (COUNTr5_19 and vh_283) ; vh_285 <= (vh_284) or (vh_283) or (COUNTr5_19) ; vh_286 <= (COUNTr5_18 and vh_285) ; vh_287 <= (vh_286) or (vh_285) or (COUNTr5_18) ; vh_288 <= (COUNTr5_17 and vh_287) ; vh_289 <= (vh_288) or (vh_287) or (COUNTr5_17) ; vh_290 <= (COUNTr5_16 and vh_289) ; vh_291 <= (vh_290) or (vh_289) or (COUNTr5_16) ; vh_292 <= (COUNTr5_15 and vh_291) ; vh_293 <= (vh_292) or (vh_291) or (COUNTr5_15) ; vh_294 <= (COUNTr5_14 and vh_293) ; vh_295 <= (vh_294) or (vh_293) or (COUNTr5_14) ; vh_296 <= (COUNTr5_13 and vh_295) ; vh_297 <= (vh_296) or (vh_295) or (COUNTr5_13) ; vh_298 <= (COUNTr5_12 and vh_297) ; vh_299 <= (vh_298) or (vh_297) or (COUNTr5_12) ; vh_300 <= (COUNTr5_11 and vh_299) ; vh_301 <= (vh_300) or (vh_299) or (COUNTr5_11) ; vh_302 <= (COUNTr5_10 and vh_301) ; vh_303 <= (vh_302) or (vh_301) or (COUNTr5_10) ; vh_304 <= (COUNTr5_9 and vh_303) ; vh_305 <= (vh_304) or (vh_303) or (COUNTr5_9) ; vh_306 <= (COUNTr5_8 and vh_305) ; vh_307 <= (vh_306) or (vh_305) or (COUNTr5_8) ; vh_308 <= (COUNTr5_7 and vh_307) ; vh_309 <= (vh_308) or (vh_307) or (COUNTr5_7) ; vh_310 <= (COUNTr5_6 and vh_309) ; vh_311 <= (vh_310) or (vh_309) or (COUNTr5_6) ; vh_312 <= (COUNTr5_5 and vh_311) ; vh_313 <= (vh_312) or (vh_311) or (COUNTr5_5) ; vh_314 <= (COUNTr5_4 and vh_313) ; vh_315 <= (vh_314) or (vh_313) or (COUNTr5_4) ; vh_316 <= (COUNTr5_3 and vh_315) ; vh_317 <= (vh_316) or (vh_315) or (COUNTr5_3) ; vh_318 <= (COUNTr5_2 and vh_317) ; vh_319 <= (vh_318) or (vh_317) or (COUNTr5_2) ; vh_320 <= (COUNTr5_1 and vh_319) ; vh_321 <= (vh_320) or (vh_319) or (COUNTr5_1) ; DFFPC( COUNTr4_0, vh_32, vh_33, CLOCK, COUNTr5_0) ; DFFPC( COUNTr4_1, vh_39, vh_40, CLOCK, COUNTr5_1) ; DFFPC( COUNTr4_2, vh_46, vh_47, CLOCK, COUNTr5_2) ; DFFPC( COUNTr4_3, vh_53, vh_54, CLOCK, COUNTr5_3) ; DFFPC( COUNTr4_4, vh_60, vh_61, CLOCK, COUNTr5_4) ; DFFPC( COUNTr4_5, vh_67, vh_68, CLOCK, COUNTr5_5) ; DFFPC( COUNTr4_6, vh_74, vh_75, CLOCK, COUNTr5_6) ; DFFPC( COUNTr4_7, vh_81, vh_82, CLOCK, COUNTr5_7) ; DFFPC( COUNTr4_8, vh_88, vh_89, CLOCK, COUNTr5_8) ; DFFPC( COUNTr4_9, vh_95, vh_96, CLOCK, COUNTr5_9) ; DFFPC( COUNTr4_10, vh_102, vh_103, CLOCK, COUNTr5_10) ; DFFPC( COUNTr4_11, vh_109, vh_110, CLOCK, COUNTr5_11) ; DFFPC( COUNTr4_12, vh_116, vh_117, CLOCK, COUNTr5_12) ; DFFPC( COUNTr4_13, vh_123, vh_124, CLOCK, COUNTr5_13) ; DFFPC( COUNTr4_14, vh_130, vh_131, CLOCK, COUNTr5_14) ; DFFPC( COUNTr4_15, vh_137, vh_138, CLOCK, COUNTr5_15) ; DFFPC( COUNTr4_16, vh_144, vh_145, CLOCK, COUNTr5_16) ; DFFPC( COUNTr4_17, vh_151, vh_152, CLOCK, COUNTr5_17) ; DFFPC( COUNTr4_18, vh_158, vh_159, CLOCK, COUNTr5_18) ; DFFPC( COUNTr4_19, vh_165, vh_166, CLOCK, COUNTr5_19) ; DFFPC( COUNTr4_20, vh_172, vh_173, CLOCK, COUNTr5_20) ; DFFPC( COUNTr4_21, vh_179, vh_180, CLOCK, COUNTr5_21) ; DFFPC( COUNTr4_22, vh_186, vh_187, CLOCK, COUNTr5_22) ; DFFPC( COUNTr4_23, vh_193, vh_194, CLOCK, COUNTr5_23) ; DFFPC( COUNTr4_24, vh_200, vh_201, CLOCK, COUNTr5_24) ; DFFPC( COUNTr4_25, vh_207, vh_208, CLOCK, COUNTr5_25) ; DFFPC( COUNTr4_26, vh_214, vh_215, CLOCK, COUNTr5_26) ; DFFPC( COUNTr4_27, vh_221, vh_222, CLOCK, COUNTr5_27) ; DFFPC( COUNTr4_28, vh_228, vh_229, CLOCK, COUNTr5_28) ; DFFPC( COUNTr4_29, vh_235, vh_236, CLOCK, COUNTr5_29) ; DFFPC( COUNTr4_30, vh_242, vh_243, CLOCK, COUNTr5_30) ; DFFPC( COUNTr4_31, vh_249, vh_250, CLOCK, COUNTr5_31) ; DFF( COUNTr3_28, CLOCK, DIVIDE_OUT) ; end exemplar ;