-- +-----------------------------+ -- | Library: multiplexer | -- | designer : Tim Pagden | -- | opened: 14 May 1995 | -- +-----------------------------+ -- Architectures: -- 15.05.95 behaviour library ieee; library vfp; -- behaviour architecture behaviour of demux_32 is use ieee.std_logic_1164.all; use vfp.generic_conversions.all; begin demux_a_to_y: process (a, enable) begin y <= (others => '0'); if enable = '1' then y(to_integer(a)) <= '1'; end if; end process; end behaviour; <div align="center"><br /><script type="text/javascript"><!-- google_ad_client = "pub-7293844627074885"; //468x60, Created at 07. 11. 25 google_ad_slot = "8619794253"; google_ad_width = 468; google_ad_height = 60; //--></script> <script type="text/javascript" src="http://pagead2.googlesyndication.com/pagead/show_ads.js"> </script><br /> </div>